Datos Identificativos 2017/18
Asignatura (*) Sistemas Digitales I Código 770G01026
Titulación
Grao en Enxeñaría Electrónica Industrial e Automática
Descriptores Ciclo Periodo Curso Tipo Créditos
Grado 2º cuatrimestre
Tercero Obligatoria 6
Idioma
Castellano
Modalidad docente Presencial
Prerrequisitos
Departamento Enxeñaría Industrial
Coordinador/a
Jove Pérez, Esteban
Correo electrónico
esteban.jove@udc.es
Profesorado
Jove Pérez, Esteban
Meizoso López, Maria del Carmen
Correo electrónico
esteban.jove@udc.es
carmen.meizoso@udc.es
Web
Descripción general O obxectivo desta materia é que o alumno coñeza as memorias e os dispositivos lóxicos programables, así como os métodos e ferramentas de deseño de circuítos sobre dispositivos lóxicos programables.

Competencias del título
Código Competencias del título
A3 Capacidad para realizar mediciones, cálculos, valoraciones, tasaciones, peritaciones, estudios e informes.
A4 Capacidad de gestión de la información, manejo y aplicación de las especificaciones técnicas y la legislación necesarias en el ejercicio de la profesión.
A5 Capacidad para analizar y valorar el impacto social y medioambiental de las soluciones técnicas actuando con ética, responsabilidad profesional y compromiso social, buscando siempre la calidad y mejora continua.
A25 Conocer los fundamentos y aplicaciones de la electrónica analógica.
A26 Conocer los fundamentos y aplicaciones de la electrónica digital y microprocesadores.
A29 Capacidad para diseñar sistemas electrónicos analógicos, digitales y de potencia.
A30 Conocer y ser capaz de modelar y simular sistemas.
A33 Conocimiento aplicado de informática industrial y comunicaciones.
B1 Capacidad de resolver problemas con iniciativa, toma de decisiones, creatividad y razonamiento crítico.
B2 Capacidad de comunicar y transmitir conocimientos, habilidades y destrezas en el campo de la ingeniería industrial.
B3 Capacidad de trabajar en un entorno multilingüe y multidisciplinar.
B4 Capacidad de trabajar y aprender de forma autónoma y con iniciativa.
B5 Capacidad para usar las técnicas, habilidades y herramientas de la Ingeniería necesarias para la práctica de la misma.
B6 Capacidad de usar adecuadamente los recursos de información y aplicar las tecnologías de la información y las comunicaciones en la Ingeniería.
B7 Capacidad para trabajar de forma colaborativa y de motivar a un grupo de trabajo.
C2 Dominar la expresión y la comprensión de forma oral y escrita de un idioma extranjero.
C3 Utilizar las herramientas básicas de las tecnologías de la información y las comunicaciones (TIC) necesarias para el ejercicio de su profesión y para el aprendizaje a lo largo de su vida.
C6 Valorar críticamente el conocimiento, la tecnología y la información disponible para resolver los problemas con los que deben enfrentarse.

Resultados de aprendizaje
Resultados de aprendizaje Competencias del título
Programa dispositivos lógicos programables y configurables y utiliza con soltura sus herramientas de desarrollo. A3
A30
A33
B1
B3
C3
Conoce la realización electrónica de los circuitos convertidores A/D y D/A y sabe elegir el más adecuado en cada aplicación. A4
A5
B5
B6
C2
C6
Distingue los tipos de circuitos lógicos programables y dispositivos de memoria. A25
A26
A29
Conoce las técnicas de conexión de periféricos básicos, diseña sus circuitos. A26
A30
B2
B4
B7

Contenidos
Tema Subtema
Tema 1. Diseño de sistemas secuenciales síncronos. Máquinas de estados finitos. Análisis y síntesis. Descripción en VHDL.
Tema 2. Introducción a la lógica programable. Características de los circuitos programables. Fases del diseño. Ventajas. Aplicaciones.
Tema 3. Arquitectura del CPLD CoolRunner II Bloques Función. Macroceldas. Bloques de Entrada/Salida. Modelo de tiempos.
Tema 4. Diseño de sistemas digitales con CPLDs Fases de la implementación: Síntesis. Ejemplos de codificación de macros. Informe de síntesis. Opciones. Translate. Fit. Informe de tiempos

Diseño de sistemas secuencialess: Señales de reloj Diseño de circuitos secuenciales síncronos: contadores, circuitos de control, tratamiento de entradas asíncronas, metaestabilidad. Acoplamiento entre sistemas secuenciales y otros circuitos.

Diseño de sistemas digitales complejos: Método sistemático de diseño. Aplicación práctica del método.
Tema 5. Arquitectura de las FPGAs de la familia Spartan 3E de Xilinx Introducción.CLBs.Slices. LUTs.Multiplexores. Memorias. Multiplicadores "hardware". Circuitos de reloj. Bloques de E/S. Tecnologías de E/S. Utilización de recursos específicos.
Tema 6. Diseño síncrono con FPGAs Normas de diseño de sistemas secuenciales síncronos. Transitorios en salidas.
Tema 7:Tratamiento de ficheros en VHDL Declarar fichero. Leer y escribir fichero. Abrir explícitamente un fichero. Cerrar Fichero. Paquete std_logic_textio.Ejemplos
Tema 8. Diseño de un controlador VGA Conversor DA para VGA en la Nexys 2. Estándard VGA. Diseño del controlador.
Tema 9. Diseño de sistemas aritméticos con lógica programable Introducción. Paquetes matemáticos. Sumadores. Multiplicadores. Divisores
Tema 10. Técnicas de mejora de prestaciones en sistemas síncronos. Técnica de segmentación. Técnica de duplicación de estados
Contenidos de la memoria de verificación relacionados con los temas: · Programación básica en VHDL: Temas 1 y 2.
· Diseño con dispositivos electrónicos configurables Temas 3, 4 y 6.
· Circuitos de memoria. Buses. Temas 5, 6 y 7.
· Conversión A/D y D/A. Tema 6 y 9.
· Herramientas de desarrollo de sistemas lógicos programables: Temas 4, 6, 8, 9 y 10.
· Diseño electrónico digital: Temas 4, 6, 8 y 9.
· Transmisión de datos. Temas 8 y 10.

Planificación
Metodologías / pruebas Competéncias Horas presenciales Horas no presenciales / trabajo autónomo Horas totales
Sesión magistral A26 21 30 51
Prácticas de laboratorio A29 19 32 51
Trabajos tutelados A3 A4 A5 A25 A30 A33 B1 B2 B3 B7 C2 C6 7 21 28
Solución de problemas B4 B5 B6 C3 4 0 4
Prueba objetiva A26 A29 B1 5 10 15
 
Atención personalizada 1 0 1
 
(*)Los datos que aparecen en la tabla de planificación són de carácter orientativo, considerando la heterogeneidad de los alumnos

Metodologías
Metodologías Descripción
Sesión magistral Exposición oral y mediante el uso de medios audiovisuales del temario de la asignatura.

Prácticas de laboratorio Desarrollo de prácticas de aplicación de los conocimientos teóricos adquiridos. Manejo del software de simulación y diseño de circuitos digitales.
Trabajos tutelados Trabajos de realización individual o en grupo para el diseño de un circuito de complejidad media.
Solución de problemas Sesiones de realización de ejercicios por parte de los alumnos y el profesor.
Prueba objetiva Pruebas de evaluación que podrán incluir preguntas sobre los contenidos teóricos de la asignatura, así como ejercicios o problemas relacionados con sus contenidos.

Atención personalizada
Metodologías
Solución de problemas
Sesión magistral
Prácticas de laboratorio
Trabajos tutelados
Descripción
Los profesores atenderán personalmente las dudas sobre cualquiera de las actividades desarrolladas a lo largo del curso. El horario de tutorías será publicado al comienzo del cuatrimestre en la página web del centro.

Evaluación
Metodologías Competéncias Descripción Calificación
Trabajos tutelados A3 A4 A5 A25 A30 A33 B1 B2 B3 B7 C2 C6 Trabajo de diseño de un sistema digital de complejidad media. Se evaluará la correcta aplicación de los conceptos teóricos al trabajo realizado. Será necesario entregar una memoria explicativa del mismo, hacer una exposición oral y realizar una defensa da la práctica. 40
Prueba objetiva A26 A29 B1 Habrá 2 pruebas objetivas a realizar individualmente por cada alumno.

La primera se realizará una vez explicados los 5 primeros temas. Supondrá un 30% de la nota final.

La segunda prueba será el examen final de la 1ª oportunidad y podrá tener un peso de:

- 30% para alumnos que decidan examinarse solo de la segunda parte de la asignatura.
- 60% para alumnos que decidan examinarse de las dos partes, anulando la nota de la 1ª prueba objetiva, si la tuviera.
60
 
Observaciones evaluación

Las calificaciones de las tareas evaluables serán válidas únicamente para el curso académico en el que se realicen.

Las pruebas objetivas pueden incluir preguntas de respuesta corta e/o tipo test, resolución de problemas en papel o diseño de circuitos con el software ISE.

Para alcanzar la máxima nota en el trabajo se tendrán en cuenta los siguientes aspectos:

- Los circuitos diseñados deben funcionar perfectamente en todos sus aspectos (simulación funcional y temporal).

- La memoria entregada y la presentación han de ser claras.

- El alumno tendrá que realizar una defensa personalizada de la práctica, justificando el porqué de su diseño y contestando a las preguntas relativas al trabajo realizadas por el profesor.

Nota final

La nota final se calculará, en general, como:

Nota Final =0,3 x Nota prueba objetiva 1 + 0,4 x Nota trabajo + 0,3 x Nota proba objetiva 2

Aquellos alumnos que no tengan calificación en la 1ª prueba objetiva, o bien, alcanzasen calificaciones muy bajas pueden optar a realizar la 2ª prueba objetiva (la prueba será distinta en este caso). En este supuesto la nota final será:

Nota Final=0,6 x Nota prueba objetiva 2 + 0,4 x Nota trabajo

Segunda oportunidad:

En la segunda oportunidad, se  realizará una prueba objetiva que puede constar de cuestiones teórico-prácticas sobre todo el temario, ejercicios escritos, y de implementación de un circuito en alguna de las placas del Laboratorio. 


Fuentes de información
Básica Jacobo Álvarez Ruiz de Ojeda (2012). Diseño digital con FPGAs. Madrid : Vision Ebooks
Jacobo Álvarez Ruiz de Ojeda (2004). Diseño Digital con Lógica Programable. Santiago de Compostela. Tórculo

Complementária Roy W. Goody (2001). OrCAD PSpice for Windows. Prentice Hall
Tocci. Ronald J. (1996). Sistemas Digitales. Prentice Hall


Recomendaciones
Asignaturas que se recomienda haber cursado previamente
Fundamentos de Electricidad/770G01013
Fundamentos de Electrónica/770G01018
Electrónica Analógica/770G01022
Electrónica Digital/770G01023

Asignaturas que se recomienda cursar simultáneamente

Asignaturas que continúan el temario
Sistemas Digitales II/770G01034

Otros comentarios

En esta asignatura se da por supuesto que el alumno sabe programar en lenguaje VHDL, y maneja el entorno de diseño ISE Web Pack de Xilinx, por lo que para matricularse con posibilidades de éxito es preciso haber cursado con aprovechamiento Electrónica Digital, o bien haber adquirido esos conocimientos previamente.



(*) La Guía Docente es el documento donde se visualiza la propuesta académica de la UDC. Este documento es público y no se puede modificar, salvo cosas excepcionales bajo la revisión del órgano competente de acuerdo a la normativa vigente que establece el proceso de elaboración de guías